Linux / Unix Poloaiga: Id

Igoa

ld - Faʻaaoga LD , le GNU linker

SYNOPSIS

ld [ options ] objfile ...

DESCRIPTION

ls faʻapipiʻiina se numera o faila ma faila faʻamaumauga, toe lafo a latou faʻamaumauga ma fusi fusi faʻamaufaʻailoga. E masani lava o le laasaga mulimuli i le tuufaatasia o se polokalama o le tamoe ld .

ld taliaina Linker Command Faʻamaumauga o faila i totonu o le superset o le AT & T's Link Editor Command Faʻasalaga gagana, e tuʻuina atu manino ma pulea uma le faagasologa o fesoʻotaiga.

O lenei itulau tagata e le o faamatalaina le gagana pule; Vaʻai i le ulufale i le "info", poʻo le tusi lesona ld: le GNU linker, mo auiliiliga atoa i le gagana pule ma isi vaega o le linkGGU.

O lenei lomiga o le Ld e faʻaaogaina ai le faamoemoega lautele o faletusi BFD e faagaoioia i luga o faila faila. E mafai ai e le Ld ona faitau, tuufaatasia, ma tusi faila faila i le tele o fua eseese eseese --- faʻataʻitaʻiga, COFF poʻo le "a.out". E mafai ona fesoʻotaʻi faʻatasi faʻasologa eseese e maua ai soʻo se ituaiga o faila faʻaoga.

E ese mai i lona fetuutuunai, e sili atu le aoga o le faila o le GNU nai lo isi tagata fesootai i le tuuina atu o faʻamatalaga faʻamaonia. E toatele le au faipisinisi latou te lafoaia le faʻatinoga vave ina ua latou maua se mea sese; soʻo se taimi lava e mafai ai, ld faʻaauauina le faʻatinoina, faʻatagaina oe e iloa isi mea sese (pe, i nisi tulaga, ia maua se faila faila e ui lava i le sese).

O le GNU linker ld o le a aofia ai le tele o tulaga, ma ia tutusa lelei ma isi tagata faila. O se taunuuga, e tele au filifiliga e pulea ai ana amioga.

FUAFUAGA

E lagolagoina e le fesoʻotaʻiga le tele o filifiliga o le laina o laina , ae i le faiga masani o nai vaega o loʻo faʻaaogaina i soʻo se faʻamatalaga patino. Mo se faʻataʻitaʻiga, o le faʻaaoga faifai pea o le l, o le faʻafesoʻotaʻi lea o faila faila a Unix i luga o se laasaga, lagolagoina le Unix system . I luga o sea faiga, e sosoo ai se faila "hello.o":

ld -o /lib/crt0.o hello.o -lc

E taʻu mai ai i le ld le fatuina o se faila e taʻua o le mea e mafua mai i le fesoʻotaʻiina o le faila "/lib/crt0.o" ma le "hello.o" ma le faletusi "libc.a", lea o le a sau mai le lisi o faʻamaumauga. (Vaʻai le talanoaga o le -l filifiliga o loʻo i lalo.)

O nisi o filifiliga laina laina i le ld e mafai ona faʻamaonia i soo se itu i le laina o le poloaiga. Ae ui i lea, o filifiliga e faasino i faila, e pei o le -l po o le -T , e mafua ai ona faila le faila i le itu lea e aliali mai ai le filifiliga i le laina o poloaiga, e fesoʻotaʻi ma faila faila ma isi faila faila. O le toe faia o filifiliga faila i se eseʻese eseʻese o le a leai se isi faʻamatalaga, poʻo le faʻaitiitia foi o mea na tupu muamua (o loʻo i luga atu i le agavale i le laina o le laina) o lena filifiliga. Filifiliga e ono mafai ona faʻamaonia faʻamaonia nai lo le tasi e iloa i faʻamatalaga o loʻo i lalo.

O finauga e le faʻaaogaina o faila faila poʻo faʻamaumauga e tatau ona tuʻu faʻatasi. E mafai ona latou mulimulitaia, muamua, pe fefiloi faʻatasi ma laina laina laina, sei vagana ai o se finauga faila i le faila e le mafai ona tuʻuina i le va o se filifiliga ma lana finauga.

E masani lava o le fesoʻotaʻiga o loʻo faʻaaogaina ma le itiiti ifo ma le tasi le faila faila, ae e mafai ona e faʻamatalaina isi ituaiga o faila faʻaaogā faila e faʻaaoga ai -l , -R , ma le gagana tusitusi. Afai e leai ni faila pini tuusaʻo uma e faʻamaonia, e le maua e le suauʻu soʻo se gaioiga, ma lafoina le feau E leai ni faila faʻapipiʻi .

Afai e le mafai e le faila ona iloa le faatulagaga o se faila faila, o le a manatu o se tusitusiga fesoʻotaʻi lea. O se faʻamatalaga ua faʻapipiʻiina i lenei auala e faʻaaogaina ai le tusiga autu fesoʻotaʻiga na faʻaaogaina mo le fesoʻotaʻiga (pe o le fesoʻotaʻiga fesoʻotaʻiga le fesoʻotaʻi poʻo le tasi ua faʻamaonia e ala i le faaaogaina - ) O lenei tulaga e mafai ai e le faila ona fesootai i se faila e foliga mai o se mea faitino poo se fale teu faamaumauga, ae na o le na o le faʻamalamalamaina o uiga taua, poʻo le faʻaaogaina o "INPUT" poʻo le "GROUP" e utaina isi mea faitino. Manatua o le faʻamautuina o se tusitusiga i lenei auala e naʻo le faʻauʻuina o le tusitusiga autu autu; faʻaaoga le - filifiliga e sui ai le faʻamaumauga o le link link default.

Mo filifiliga o latou igoa o se mataitusi se tasi, o faitalia finauga e tatau ona mulimulitai i le lisi o le filifiliga e aunoa ma le faalavelaveina o le lapoa, poo le tuʻuina atu o ni finauga eseese i le taimi lava e mulimuli ai i le filifiliga e manaʻomia ai i latou.

Mo filifiliga o latou igoa o ni mataitusi se tele, pe tasi le faaseʻe pe lua e mafai ona muamua le igoa filifiliga; mo se faʻataʻitaʻiga, -o le faʻasologa-faailoga ma le --trace-symbol e tutusa. Manatua - e tasi le faʻatagaga i lenei tulafono. O le tele o mataitusi mataitusi e amata i se tulaga maualalo 'o' e mafai ona faʻamalosoloina e ni taʻaloga se lua. O lenei mea e faʻaititia ai le fenumiai i le -o le filifiliga. Mo se faʻataʻitaʻiga - mea faʻapitoa e faʻapipiʻi ai le igoa faila o le faila i le togafiti togafiti ae faʻapitoa - e faʻapipiʻi le mamanu NMAGIC i luga o le gaosiga.

Feteenaʻiga i filifiliga e tele-tusi e tatau ona vavaeeseina mai le igoa o le filifiliga e ala i se faailoga tutusa, pe tuʻuina atu e avea o ni finauga eseese i le taimi lava e mulimuli ai i le filifiliga e manaʻomia ai i latou. Mo se faʻataʻitaʻiga, - faʻamatalaga faʻamaonia ma le --trace-symbol = foo e tutusa. O otootoga tulaga ese o igoa o le tele o tusi o filifiliga e taliaina.

Faʻaaliga - pe afai o le tagata e tuʻuina atu le fesoʻotaʻiga e le faʻaalatua, e ala mai i se tagata faʻatalalaina (e pei o le gcc ) ona tatau uma lea ona tuʻufaʻatasia e le -Wl, (poʻo soo se mea e talafeagai mo le taʻavale faʻapitoa) e pei o lenei:

gcc -Wl, - startgroup foo.o bar.o -Wl, - kulupu kulupu

E taua lenei mea, aua a leai o le polokalama o le avetaʻavale faʻapitoa e mafai ona paʻu lemu laina o fesoʻotaʻiga, e mafua ai se fesoʻotaʻiga leaga.

O le laulau lenei o suiga telefoni faʻatonutonuina e le GNU linker:

-o se upu autu

O lenei filifiliga e lagolagoina mo le fetaui lelei o HP / UX. O le finauga autu e tatau ona avea ma se tasi o faʻamaufaʻailoga , faʻasoa , poʻo le le mafai . -avechive e tutusa tutusa ma -Batic , ma o isi upu autu e tutusa ma tutusa -Bdynamic . O lenei filifiliga e mafai ona faʻaaoga soo se taimi.

- O se fale ata

- pulega faʻapitoa = fausaga

I le taimi nei o le tatalaina o le ld , o lenei filifiliga e aoga tele mo le Intel 960 aiga o tusiata. I lena fuafuaga , o le faʻatautaia o fale e faʻaalia ai le maota faapitoa i totonu o le aiga 960, mafai ai ona puipuia malu ma faʻaleleia auala o suʻesuʻega a le falefaitautusi.

O le tatalaina i luma o le LD e mafai ona lagolagoina ai galuega tutusa tutusa mo isi fale fausaga faufale.

-o le faʻasologa o mea

--format = faʻasologa o le tusiga

ld e mafai ona faʻatulaga e lagolago ai le sili atu ma le tasi le ituaiga faila faila. Afai o lau ld o loʻo faʻapipiʻiina i lenei auala, e mafai ona e faʻaoga le -b filifiliga e faʻamalamalama ai le faatulagaga binary mo faila i totonu o mea e mulimuli i lenei filifiliga i le laina o le poloaiga. E tusa lava pe faʻapipiʻi le ld e lagolago ai isi mea faʻapitoa, e le masani ona e faʻamaonia lenei mea, e pei ona tatau ona faʻatautaia le ld e faʻamoemoe e avea o se faʻasologa o le faʻaaogaina o le faʻaaogaina masani o le masini masani i masini taʻitasi. faʻafesoʻotaʻi o se laina faʻamau, o le igoa o se faʻamaumauga faapitoa o loʻo lagolagoina e potutusi a le BFD. (E mafai ona e lisiina ia laasaga o mea e maua ai le numera -i .)

Atonu e te manaʻo e faʻaoga lenei filifiliga pe afai o loʻo e fesoʻotaʻi faila ma se faiga e le masani ai. E mafai foi ona e faʻaaogaina -b ia faʻafesuiaʻi faʻamatalaga manino (pe a faʻapipiʻiina faila o mea faʻapitoa), e ala i le aofia ai -b faʻailoga -faʻasologa i luma o vaega taʻitasi o faila i se faʻatulagaga patino.

O le faʻafesoʻotaʻi le faʻaaogaina e maua mai i le suiga o le siosiomaga "GNUTARGET".

E mafai foʻi ona e faʻamatalaina le faʻaogaina o le faʻaliliuga mai se tusitusiga, faʻaaoga le poloaiga "TARGET";

-c MRI-commandfile

--mri-script = MRI-commandfile

Mo le fesoʻotaʻiga faʻatasi ai ma suauʻu na gaosia e le MRI, ld talia faila faila i totonu o se isi, gagana faʻatapulaʻaina, faʻamatalaina i le vaega MRI Faʻasalalau Tusitusiga Faʻamaumauga o le GNU ld pepa. Faʻamatalaina faila faila o le MRI i le filifiliga -c ; faʻaaoga le -Tafaʻaiga e taʻavaʻaʻi tusitusi fesoʻotaʻi na tusia i le gagana lautele ld scripting language. Afai e le oi ai le MRI-cmdfile , o le a vaʻavaʻai i ai i totonu o le lisi o faʻamaumauga ua faʻamaonia e nisi -L filifiliga.

-d

-dc

-pp

O nei filifiliga e tolu e tutusa; le tele o fomu e lagolagoina mo le fesoʻotaʻiga ma isi tagata faila. Latou te tuʻufaʻatasia le avanoa i faʻauʻu masani e tusa lava pe faʻamautuina se faila faila faʻataʻitaʻi (faatasi ai ma -r ). O le tusi tusitusi "FORCE_COMMON_ALLOCATION" e tutusa lona aafiaga.

-e ulufale atu

- lua = ulufale

Faʻaaogā le faʻamatalaga e avea o se faʻaaliga manino mo le amataina o le faʻatinoga o lau polokalama, nai lo le faʻailoga o le ulufale. Afai e leai se faailoga e ulufale mai , o le a taumafai le suauu e pasi le ulufale mai o se numera, ma faʻaaogaina e avea ma tuatusi ulufale (o le numera o le a faʻamatalaina i le faavae 10; e mafai ona e faʻaaogaina le 0x mo le faʻavae 16, poʻo se taʻitaʻiga 0 mo le vaega 8).

-E

- faʻasalalau-faʻavaʻa

Pe a fatuina se fesoʻotaʻiga fesoʻotaʻi fesoʻotaʻi, faʻapipiʻi uma faʻataʻitaʻiga i le laulau faʻamaonia faʻalelei. O le faʻamaoniga o le laulau o le seti lea o faʻamaoniga e mafai ona iloa mai mea iloga i le taimi e tamoe ai.

Afai e te le faʻaogaina lenei filifiliga, o le laupepa faʻamaonia o le a masani ona aofia ai na o faatusa o loʻo taʻuina mai e nisi o mea mataʻutia o loʻo taua i le fesoʻotaʻiga.

Afai e te faʻaaogaina le "dlopen" e ave ai se mea faʻalelei e tatau ona toe faʻafoʻi atu i faatusa ua faʻamatalaina e le polokalama, nai lo se isi mea faʻamalosi, ona atonu e te manaʻomia le faʻaogaina o lenei filifiliga pe'ā e fesoʻotaʻi le polokalame lava ia.

E mafai foi ona e faʻaaogaina le faʻamaumauga o faʻamaumauga e pulea ai po o a faailoga e tatau ona faʻaopoopo i le laulau faʻamaoniga faʻavaʻa pe a lagolagoina e le faʻatulagaga o le faʻatulagaga. Vaʻai i le faʻamatalaga o le - nusipepa-script i @ ref {VERSION}.

-EB

Fesoʻotaʻi mea faitino tetele. E aʻafia ai le faʻaaogaina o le faʻatulagaga o le gaioiga

-EL

Faʻafesoʻotaʻi mea laiti-endian. E aʻafia ai le faʻaaogaina o le faʻatulagaga o le gaioiga

-f

- igoa o le vaalele

Pe a fatuina se mea e fefaʻasoaaʻi ai le ELF, ia faatulaga le initaneti DT_AUXILIARY i le igoa ua faʻamaonia. O lenei mea e taʻu atu ai le fesoʻotaʻiga faʻamalosi e tatau ona faʻaaogaina le laulau faʻamaufaʻailoga o le mea tufatufaina e fai ma se fesoasoani fesoasoani i luga o le laulau faʻafaʻailoga o le igoa faʻasoasoaina .

Afai e mulimuli ane e sosooina se polokalama e faasaga i lenei masini faamama, o le mea lea, pe a e taʻalo le polokalama, o le a faʻaalia le fesoʻotaʻiga o le DT_AUXILIARY. Afai e foia e le tagata fesoʻotaʻi soʻo se faʻamaoniga mai le mea masini, o le a siaki muamua pe oi ai se faʻamatalaga i le igoa mea faʻasoa. Afai ei ai se tasi, o le a faʻaaoga nai lo le faʻamatalaga i le masini faamama. E le tatau ona i ai le igoa faʻasoa faʻatasi. O le mea lea e mafai ona faʻaaogaina ai le mea faitino faʻatasi e tuʻuina atu ai se isi faʻatinoga o nisi o galuega tauave, masalo mo le faʻatupuina poʻo le faʻatautaia o masini faapitoa.

O lenei filifiliga e mafai ona faʻamaonia e sili atu ma le tasi. O faʻamaumauga DT_AUXILIARY o le a faia i totonu o le faasologa o loʻo i luga o le laina o le poloaiga.

-F igoa

- igoa o le igoa

A e fatuina se mea e fefaʻasoaaʻi ai le ELF, ona faatulaga lea o le teritori o le DT_FILTER i le igoa ua faʻamaonia. E taʻu atu ai i le tagata fesoʻotaʻi malosi o le laulau faʻafaʻailoga o le mea tufatufaina lea o loʻo faʻatupuina e tatau ona faʻaaogaina e avea o se faamama i luga o le laulau o le igoa mea faʻasoasoa .

Afai e mulimuli ane e sosooina se polokalama e faasaga i lenei masini faamama, o le mea lea, a e taʻavale le polokalama, o le a faʻaalia le fesoʻotaʻiga o le DT_FILTER. O le sosoʻo faʻaosooso o le a foia faailoga e tusa ai ma le laulau faʻataʻitaʻi o le mea masini e pei ona masani ai, ae o le a fesoʻotaʻi tonu lava i faʻamatalaga o loʻo maua i le igoa mea faʻasoa. O le mea lea e mafai ona faʻaaogaina le mea masini e filifili ai se vaega o faatusa na tuʻuina mai e le igoa o le mea faitino.

O nisi tagata matutua na latou faʻaogaina le -F i le taimi atoa o se mea faigaluega tapenaina mo le faʻamalamalamaina o le faila o faila-faila mo faila faʻapipiʻi ma mea gaosi meafaigaluega. O le GNU linker e faʻaaogaina isi auala mo lenei faʻamoemoe: o le -b , --format , --oformat options, le tulafono "TARGET" i link link, ma le "GNUTARGET" le siosiomaga o le siosiomaga. O le a le amanaʻia e le GNU le faʻasalalauga -F pe a le fatuina se mea e faʻasoa e ELF.

- igoa le igoa

Pe a fatuina se ELF e faʻaaogaina pe faʻasoa mea, valaʻau le Igoa pe a vaʻaia le mea faʻatino poʻo le mea na tufatufaina, e ala i le faʻatulagaina o le DT_FINI i le tuatusi o le galuega. I le le mafai, e faʻaaogaina e le suauʻu le "afini" o le galuega e valaau ai.

-g

Le amanaiaina. Tuuina atu mo le fesoʻotaʻiga ma isi meafaigaluega.

-G tau aogā

--lofia = le tau

Seti le tele o meafaitino e faʻaaogaina e faʻaaoga ai le GP resitala i le tele . E naʻo le aoga tele mo faila faila faila pei o le MIPS ECOFF lea e lagolagoina le tuʻuina o mea tetele ma mea laiti i ni vaega eseese. E le amanaiaina lea mea mo isi faila faila faila.

-o le igoa

-name = igoa

Pe a fatuina se mea e fefaʻasoaaʻi ai le ELF, faʻapipiʻi le vaʻai DT_SONAME i totonu o le igoa faʻamaonia. Afai e fesoʻotaʻi se tagata e fesoʻotaʻi ma se mea fefaʻasoaʻi lea ei ai le nofoaga DT_SONAME, o le taimi la e faʻatautaia ai le faʻatonuina o le fesoʻotaʻiga e taumafai e utaina le mea faʻasili na faʻamautuina e le DT_SONAME fanua nai lo le faʻaaogaina o le igoa o le faila na tuuina atu i le faila.

-i

Fai se fesoʻotaʻiga faʻaopoopoga (tutusa ma le filifiliga -r ).

-init igoa

Pe a fatuina se ELF e faʻaaogaina pe faʻasoa mea, valaʻau i le Igoa pe a faʻatupuina le mea faʻatino poʻo le mea na tufatufaina, e ala i le faʻatulagaina o le DT_INIT i le tuatusi o le galuega. I le le mafai, e faʻaaogaina e le suauʻu le "autū" o le galuega e valaau ai.

-l archive

--library = archive

Faʻaopoopo le faila o faila archive i le lisi o faila e fesoʻotaʻi ai. O lenei filifiliga e mafai ona faʻaaoga soo se taimi. ld o le a sailia lona ala-lisi mo mea tutupu o le "libarchive.a" mo nofoaga uma ua faamaotiina.

I luga o faiga e lagolagoina ai potu faletusi, e mafai foi ona saili fale potutusi ma ni faʻaopoopoga e ese mai le ".a". E patino lava, i ELF ma SunOS, o le a sailia le lisi mo se faletusi ma se faʻaopoopoga o le ".so" aʻo leʻi sailia se tasi ma se faʻaopoopoga o le ".a". I le feagaiga, o le ".so" faʻaopoopoga e faʻaalia ai se faletusi faʻasoa.

E na o le tasi lava le taimi o le a sailia ai e le suauu le teuina o faamaumauga, i le nofoaga o loʻo faʻamaonia ai i le laina o le poloaiga. Afai e faʻamalamalama e le archive se faʻamaoniga e leʻi faʻamaonia i se mea na faʻaalia i luma o le falefaʻamaumauga i luga o le laina o le laina, o le faila o le a aofia ai le faila talafeagai mai le upega tafaʻilagi. Ae ui i lea, o se faʻamatalaga le faʻamaonia i se mea o loʻo faʻaalia mulimuli ane i luga o le laina o le tulafono o le a le mafua ai ona toe suʻesuʻe le faila i le fale teu.

Vaʻai i le - ( filifiliga mo se auala e faʻamalosia ai le fesoʻotaʻiga e suʻesuʻe faʻamaumauga e tele taimi.

E mafai ona e lisiina ia lava faamaumauga i le tele o taimi i le laina o le poloaiga.

O lenei ituaiga o sailiiliga o faʻamaumauga o faʻamaumauga mo standard Unix linkers. Ae peitai, afai oe faʻaaoga le ld onAIX, ia maitau e ese mai le amio a le AIX linker.

-L searchdir

--library-path = searchdir

Faaopopo le auala searchdir i le lisi o auala o le a sailia e le liʻo falefaitautusi ma le ld control scripts. E mafai ona e faʻaaogaina lenei filifiliga i le tele o taimi. O faʻamaumauga o loʻo suʻesuʻeina i le faasologa o loʻo faʻamaonia ai i le laina o le poloaiga. O faʻamaumauga faʻamaonia i le laina o le suʻega e suʻeina i luma o lisi o lisi. O filifiliga uma -L e faʻaaoga i mea uma -o filifiliga, e tusa lava po o le a le faasologa o loʻo i ai filifiliga.

Afai e amata le searchdir i le "=", ona suia lea o le "=" e le syfix prefix , o se auala ua faamaotiina pe a faatulagaina le suauu.

O le le faʻaaogaina o auala na sailia (e aunoa ma le faʻamautuina-- L ) e faʻalagolago i le auala o loʻo faʻaaogaina ai ld , ma i nisi o mataupu pe faʻapipifea foʻi ona faʻapipiʻiina.

E mafai foʻi ona faʻamalamalamaina auala i se tusitusiga fesoʻotai i le tulafono "SEARCH_DIR". O faʻamaumauga ua faʻamaonia i lenei auala e suʻeina i le tulaga e aliali mai ai le tusitala i le laina o le poloaiga.

-m imulation

Faafesoʻotaʻi le faila faʻatasi. E mafai ona e lisiina faʻataʻitaʻiga avanoa i le --verbose poʻo le -V filifiliga.

Afai e le faʻaaogaina le -m filifiliga, o le faʻataʻitaʻiga e aveesea mai le "LDEMULATION" le fesuiaiga o le siosiomaga, pe afai o loʻo faʻamalamalamaina lena.

A le o lea, o le faʻalogo le faʻalagolago e faʻalagolago i le faʻaogaina o le fesoʻotaʻiga.

-M

--print-faafanua

Lolomiina se siata fesoʻotaʻiga i le tulaga faʻatulagaina. O se faʻafanua fesoʻotaʻiga e maua ai faʻamatalaga e uiga i le fesoʻotaʻiga, e aofia ai mea nei

*

O mea e fai ai faila ma faʻataʻitaʻiga o mea faitino.

*

E faʻapefea ona faʻatulagaina faailoga masani.

*

O tagata uma o le au tusitala o loʻo aofia i totonu o le fesoʻotaʻiga, faʻatasi ai ma le taʻua o le faailoga na mafua ai ona aumai le tagata o le archiva.

-n

--nmagic

Faʻasolo le itulau i luga o vaega, ma maka le galuega faatino o le "NMAGIC" pe a mafai.

-N

- togafiti

Faʻamau le tusiga ma vaega o faʻamatalaga ina ia mafai ona mafai ona faʻaaogaina ma faʻaleleia. E le gata i lea, aua le faʻaogaina le itulau-faʻamaonia le vaega o faʻamatalaga, ma faʻafitia le fesoʻotaʻi atu i faletusi faʻatasi. Afai o le faatulagaga o le faʻalauiloa e lagolago ai numera taufaʻailoga a Unix, faailoga le galuega faatino o le "OMAGIC".

--no-gasegase

O lenei filifiliga e faʻaleagaina ai le tele o aʻafiaga o le -N filifiliga. E setiina le vaega o le tusiga e na o le faitau-naʻo, ma faʻaulosia le vaega o faʻamaumauga ina ia faʻaogaina le itulau. Manatua - o lenei filifiliga e le mafai ai ona fesoʻotaʻi atu i faletusi faʻatasi. Faaaoga -Bdynamic mo lenei mea.

-o le gaioiga

--putitusi = taunuʻuga

Faʻaaogaina galuega e pei o le igoa mo le polokalame na gaosia e le ld ; afai e le o faʻamaonia lenei filifiliga, o le igoa a.out e faʻaaoga e ala i le le mafai. O le tusi tusitusi "OUTPUT" e mafai foi ona faʻamaonia le igoa faila o le faila.

-O le maualuga

Afai o le maualuga o fuainumera e sili atu nai lo le zero ld e faʻamaeʻaina ai le gaioiga. Atonu o le a sili atu ona umi le umi ma o lea atonu e tatau ai ona mafai mo le pini mulimuli.

-q

--emit-relocs

Tuʻuina vaega o le toe faʻaleleia ma mea e aofia ai i totonu o faʻamatalaga uma. Faʻafesoʻotaʻi faʻamatalaga fesoʻotaʻiga ma meafaigaluega faʻaaogaina e mafai ona manaʻomia lenei faʻamatalaga ina ia mafai ai ona faʻaleleia suiga talafeagai o tagata e mafai ona faʻaaogaina. O lenei mea e mafua ai le tele o tagata e faʻaaoga.

O lenei laasaga o loʻo lagolagoina nei i luga o fausaga o le ELF.

-r

--relocateable

Faʻatuina le toe faʻaleleia o galuega --- ie, fausiaina se faila faila e mafai ona avea ma fesoʻotaʻiga i le ld . E masani ona taʻua lenei mea o le fesoʻotaʻi vaega . I le avea ai o se itu, i siosiomaga e lagolagoina ai tulaga masani a Unix magic, o lenei filifiliga e faʻapipiʻi ai foi le numera taulaʻi o faila i le "OMAGIC". Afai e le o faʻamaonia lenei filifiliga, o le faila faila e fai. Aʻo faʻapipiʻiina polokalama C ++, o lenei filifiliga o le a le foia ai faʻamatalaga i tufuga; ia fai lena mea, faaaoga -Ur .

Aʻo le i ai se faila tutusa e pei o le faila faila, o le fesoʻotaʻi vaeluaina e na o le lagolagoina pe afai o le faila faila e le oi ai soʻo se toe faʻaleleia. E mafai ona i ai isi tapulaʻa faʻapitoa o gaosiga o galuega; mo se faʻataʻitaʻiga o nisi o "a.out" -o faʻasalaga tuʻufaʻatasiga e le lagolagoina se vaega o fesoʻotaʻi ma faila i totonu o isi faatulagaga.

O lenei filifiliga e tutusa lava le mea -i .

-F igoa

- fetuunaiga- igoa = faaila

Faitau igoa o igoa ma a latou tuatusi mai le igoa , ae aua le toe sii i ai pe aofia ai i totonu o le galuega faatino. O lenei mea e mafai ai e lau faila faila ona faʻafesoʻotaʻi faʻamaoniga i nofoaga maualuluga o le mafaufau ua faʻamatalaina i isi polokalama. E mafai ona e faʻaaogaina lenei filifiliga sili atu ma le tasi.

Mo le fesoʻotaʻiga ma isi ELS link, pe afai o le -R filifiliga e mulimulitaia e le igoa o le lisi, nai lo le igoa o le faila, e faia o le filifiliga -path .

-s

- mea uma

Tuʻu uma faʻamatalaga faʻamatalaga mai le faila faila.

-S

- faʻapipiʻi

Aveese faʻamatalaga faʻamaonia (ae le o faailoga uma) mai le faila faila.

-t

- faʻasologa

Lolomi le igoa o faila faila ao ld faagasolo i latou.

-faʻamatalaga

--script = scriptfile

Faʻaaoga le scriptfile e pei o le fesoʻotaʻiga. O lenei tusitusiga e suitulaga ai le script link linker (nai lo le faaopoopo atu i ai), o lea e tatau ai i le pulefile ona faamaoti mea uma e tatau ai e faamatala ai le faila faila. Afai e le oi ai le scriptfile i le tusi o loʻo i ai nei, "ld" e suʻeina i totonu o le lisi o faʻamaumauga ua faʻamaonia e soo se filifiliga muamua -L . Faʻateleina-o loʻo faʻaopoopoina filifiliga.

-u faailoga

--undefined = faailoga

Faailoga malosi e tatau ona tusia i le faila o faila e fai ma faʻamatalaga e le faʻamaonia. O le faia o lenei mea, mo se faʻataʻitaʻiga, amataina le fesoʻotaʻiga o isi faʻaopoopoga mai faletusi faʻataʻaloga. -e mafai ona toe faia i ni eseesega faitalia finauga e ulufale ai i isi faʻauiga e le faʻamaonia. O lenei filifiliga e tutusa ma le "EXTERN" linker script command.

-Ur

Mo soʻo se mea e ese mai i polokalame C ++, o lenei filifiliga e tutusa i-- :: Faʻatupulaia le gaioiga faʻaleleia --- ie, se faila faila lea e mafai ona avea ma fesoʻotaʻiga i le ld . Pe a faʻapipiʻiina polokalama C ++, -E mafai e le faʻamasinoga ona faʻamautu faʻamaoniga i tagata faufale, e le pei -r . E le aoga le faʻaaogaina -I luga o faila na fesoʻotaʻi ma -U ; i le taimi na fausia ai le laulau faufale, e le mafai ona faaopoopo i. Faʻaoga -O le na o le vaega mulimuli mulimuli, ma -r mo isi.

--unike [= VAEGA ]

Fausia se vaega faʻavae mo vaega taʻitasi o faʻaaogā e fetaui ma le VAEGA , pe afai o le filifiliga filifili E leai se vāega o le VAEGA , mo vaega taʻitasi o loʻo aʻafia ai. O se tamaititi matua o se tasi e le o taʻua faapitoa i totonu o se tusitusiga fesoʻotai. E mafai ona e faʻaaogaina lenei filifiliga i le tele o taimi i le laina o le poloaiga; E taofia ai le tuufaatasiga masani o vaega e ulu atu ai i le igoa lava e tasi, faʻasolosolo vaega o galuega faatino i totonu o se tusitusiga fesoʻotai.

-v

--vili

-V

Faʻaali le numera numera mo le ld . O le -V filifiliga o loʻo lisiina ai foi le lagolago.

-x

- faʻaaogaina-uma

Aveese faailoga uma i le lotoifale.

-X

--isi-tagata-tagata

Aveese faailoga faʻaletonu le tumau. Mo le tele o taulaiga, o faailoga faʻalapotopotoga uma lava nei e amata i L.

-o se faailoga

- faailoga-faailoga = faailoga

Lolomi le igoa o fusi e fesoʻotaʻi uma e faʻaalia ai le faailoga . O lenei filifiliga e mafai ona tuʻuina atu i le tele o taimi. I luga o le tele o auala e tatau ai ona faʻataʻatitia se faʻamautu.

O lenei filifiliga e aoga pe a iai sau faʻamatalaga e le faʻamaonia i lau fesoʻotaʻiga ae e te le iloa le mea e sau mai ai.

-O le ala

Faʻaopoopo le auala i le ala e le mafai ai ona suʻesuʻe le faletusi. O lenei filifiliga e maua mo le fesoʻotaiga Solaris.

-o le upusii

O upu autu autu ua "muamua", "fesuiaiga", "loadfltr", "nodefaultlib", "nodelete", "nodlopen", "nodump", "now", "origin", "combreloc", "nocombreloc" ma "nocopyreloc ". O isi upu autu e le amanaiaina mo le fesoʻotaiga Solaris. "initfirst" faailoga le mea o le a muamua amataina i le taimi o le taʻavale ao lei faia nisi mea. "faʻafeiloaʻi" faʻamaonia le mea o loʻo faʻataʻatia ai lona laulau faʻataʻitaʻiga i luma o faailoga uma ae o le faʻamuamua e mafai ona faʻatautaia. "loadfltr" e faailogaina le mea e faʻapipiʻi vave ai ona komiti i le taimi e taʻalo ai. "nodefaultlib" e iloa ai le mea o le a le amanaʻiaina le sailiga mo ē faalagolago i lenei mea. soʻo se auala e le mafai ai ona suʻesuʻeina faletusi. "nodelete" faʻamaonia le mea e le tatau ona lafoaia i le taimi malolo. "nodlopen" o loo faailogaina le mea e le o avanoa e "taofia". "nodump" e le mafai ona lafoina le mea i le "papa". "i le taimi nei" o loo faailogaina le mea faitino ma le taimi e le o le paie. "amataga" faailoga o le mea atonu e aofia ai $ ORIGIN. "faʻafefe" faʻamalologa faʻamaoniga e le faʻamaonia. "muldefs" e mafai ona faʻatagaina le tele o faʻamatalaga. "afu" e tuʻufaʻatasia ai le tele o vaega o le fogae ma faʻapipiʻi ai i latou e faia ai le faʻaogaina o le faʻamaloiloina o le faʻaleleia o le faʻaogaina o mea.

"nocombreloc" e faʻaaoga ai le tele o vaega o le toe faʻaaogaina. "nocopyreloc" e taofia le gaosiga o kopi kopi.

- ( archives -)

- faʻamaumauga o faʻamaumauga a le vaega -end-group

E tatau ona avea faʻamaumauga ma lisi o faila faila . Atonu o ni igoa faila faila, poo -l filifiliga.

O faʻamaumauga faʻamaumauga e suʻesuʻeina pea seia oʻo ina leai ni faʻamatalaga fou e leʻi faʻamalamalamaina. E masani lava, e na o le tasi lava i le faasologa e teu ai se fale teu oloa e faʻamaonia i le laina o le poloaiga. Afai e manaʻomia se faʻamaoniga i totonu o lena faʻamaumauga e foia ai se faʻamatalaga e le faʻamalamalamaina e faʻatatau i se mea i totonu o se faʻamaumauga e aliali mai mulimuli ane i luga o le laina o le tulafono, o le a le mafaia e le faila ona foia lena mau. I le faʻapipiʻiina o faʻamaumauga, o le a latou suʻesuʻe uma lava seia maeʻa ona faʻamautu uma ia mau.

O le faʻaaogaina o lenei filifiliga o loʻo i ai se tau taua tele o le faatinoga. E sili ona lelei le faʻaaogaina pe afai e le mafai ona faʻaaogaina ni faʻailoga tusitusia i le va o le lua pe sili atu faʻamaumauga.

- faʻatagaina-le iloa-faʻaoga-faʻailoga

--no-talia-unknown-input-arch

Taʻu atu i le faila e talia ni faila faifaʻatasiga e le mafai ona amanaʻia ana ata tusi. O le masalosalo o le tagata faʻaaoga e iloa le mea o loʻo latou faia ma naunau e faʻafesoʻotaʻi nei faila o faʻamatalaga le iloa. O le amio le faʻaaoga lea a le suauʻu, aʻo leʻi tuʻuina atu 2.14. O amioga le talafeagai mai le faasaolotoina o le 2.14 o le teena lea o faila faila, ma o lea ua faaopoopoina le filifiliga -accept-unknown-input-arch e toe faafoi le amio tuai.

-asame keyword keyword

O lenei filifiliga e le amanaiaina mo le tulaga tutusa o le SunOS .

-Bdynamic

-ma

-call_shared

Soʻotaga i faletusi faʻamalosi. E naʻo le taua lenei i luga o faʻamaumauga e lagolagoina ai faletusi faʻatasi . O lenei filifiliga e masani lava o le faaletonu i luga o ia tulaga. O eseesega eseese o lenei filifiliga e mo le fetaui lelei ma le tele o polokalama. E mafai ona e faʻaaogaina lenei filifiliga i le tele o taimi i le laina o le tulafono: e aafia ai le potutusi e suʻe -l filifiliga e mulimuli ai.

-O le kulupu

Seti le "DF_1_GROUP" i le "DT_FLAGS_1" ulufale i totonu o le vaega faʻamalosi. O lenei mea e mafua ai le fesoʻotaʻiga o le taimi taʻavale e faʻatautaia suʻesuʻega i lenei mea faitino ma ona faalagolagoga ina ia faia i totonu o le vaega. --e leai se faʻamalamalamaina o loʻo faʻatusaina. O lenei filifiliga e na o le taua i luga o faʻamaumauga a le ELF lea e lagolago ai potu potutusi .

-Faamalie

-dn

-non_shared

-static

Aua le fesootaʻi atu i faletusi faʻatasi. E naʻo le taua lenei i luga o faʻamaumauga e lagolagoina ai faletusi faʻatasi. O eseesega eseese o lenei filifiliga e mo le fetaui lelei ma le tele o polokalama. E mafai ona e faʻaaogaina lenei filifiliga i le tele o taimi i le laina o le tulafono: e aafia ai le potutusi e suʻe -l filifiliga e mulimuli ai.

-Faalili

I le fausiaina o se faletusi fefaʻasoaaʻi, fusifusia faʻamaumauga i faatusa o le lalolagi i le faʻamatalaga i totonu o le faletusi faʻasalalau, pe a fai ei ai E masani lava, e ono mafai ona fesoʻotaʻi se polokalame i se faletusi fefaʻasoaaʻi e faʻaaogaina ai le faʻamatalaga i totonu o le faletusi fefaʻasoaaʻi. O lenei filifiliga e naʻo le uiga o le ELFplatforms lea e lagolagoina potu potutusi.

- siaki-vaega

--no-siaki-vaega

Talosagaina le fesoʻotaʻiga e le siakiina tuatusi o faʻaupuga pe a uma ona tofia i latou e vaai pe i ai ni mea uma. E masani lava o le a faʻaogaina e le suauʻu lenei siaki, ma afai na te mauaina soʻo se mea o le a ia maua ai ni faʻamatalaga sese. E iloa e le fesoʻotaʻi, ma faia alauni mo vaega i luga o mea faʻapipiʻi. O amioga le lelei e mafai ona toe faʻaleleia e ala i le faʻaaogaina o le laina laina laina - sekone-vaega .

--cref

Faʻatinoina o se laulau faʻataʻoto. Afai o loʻo faʻapipiʻiina le faila o le faʻapipiʻi fesoʻotaʻiga, o le laulau faʻaoga laulau e lolomiina i le faila faʻafanua. A leai, o lo o lolomiina i luga o le tulaga masani.

O le faatulagaga o le laulau e faigofie ona fuafuaina, ina ia mafai ona faigofie ona gaosia e se tusitusiga pe a talafeagai. O ata o loʻo lolomiina, faʻavasegaina i le igoa. Mo faailoga taitasi, ua tuuina atu ai se lisi o igoa o faila. Afai o le faailoga ua faʻamatalaina, o le faila muamua o loʻo lisiina o le nofoaga o le faʻamatalaga. O faila totoe o loʻo i ai faʻamatalaga i le faailoga.

--no-faʻamalamalama-masani

O lenei filifiliga e taofia ai le tuuina atu o tuatusi i faailoga masani. O le tusi tusitusi "INHIBIT_COMMON_ALLOCATION" e tutusa lona aafiaga.

O le -no-faʻamalamalama-mea tutusa e mafai ai ona faʻaitiitia le filifiliga e atofa tuatusi i Faʻailoga masani mai le filifiliga o le ituaiga faila faila; a le o lea, o ni malosiaga e le toe mafai ona toe faʻaaogaina e tuʻuina atu tuatusi i faailoga masani. Faʻaaogāina -no-faʻamalamalama-tutusa e mafai ona tuʻuina atu faʻataʻitaʻi masani e faʻasino mai se potutusi faʻasalalau ina ia tuʻuina atu tuatusi i totonu ole polokalama autu. O lenei mea e faʻaaogaina ai le avanoa faʻaluaina i totonu o le potutusi faʻasoa, ma puipuia ai soʻo se fenumiai i le foia o le kopi lona lua pe a iai le tele o faʻalelei faʻapitoa ma auala faʻapitoa faʻapolokalame mo le taimi e faʻataʻitaʻi ai faʻamaoniga.

--faʻailoga faʻamaonia = faʻamatalaga

Fausia se faailoga faʻavaomalo i le faila faila, o loʻo i ai le tuatusi o loʻo tuʻuina atu i le faʻamatalaga . E mafai ona e faʻaaogaina lenei filifiliga i le tele o taimi pe a manaʻomia e faʻamatala ai le tele o faʻamaoniga i le laina o le poloaiga. O se fua faatapulaa o numera ua lagolagoina mo le faaupuga i lenei tulaga: e mafai ona e tuuina atu pea se hexadecimal pe igoa foi o se faailoga o loo i ai, pe faaaoga le "+" ma le "-" e faaopoopo pe toesea ai ia constants o le hexadecimal poo faailoga. Afai e te manaʻomia nisi faʻamatalaga auiliili, mafaufau e faʻaaoga le gagana pule o le fesoʻotaʻiga mai se tusitusiga. Manatua: e le tatau ona i ai se avanoa papaʻe i le va o le faailoga , o le faailoga tutusa (`` = ''), ma le faʻaaliga .

--demangle [= style ]

--no-demangle

O nei filifiliga e pulea pe tatau ona faʻailogaina igoa o igoa i faʻailoga sese ma isi mea faigaluega. Pe a taʻu atu le faila i le demangle, e taumafai e tuʻuina atu igoa faʻafaʻailoga i se faiga e mafai ona faʻaaogaina: o le taʻitaʻia o taʻiala pito i lalo pe afai e faʻaaogaina e le faila faila faila, ma faʻafeiloaʻi igoa o le C ++ i igoa o tagata e mafai ona faʻaaogaina. E ese le eseesega o sitaili eseese o tagata e faʻapipiʻi. E mafai ona faʻaaogaina le faitalia e fai ai le faʻailoga e filifili ai le filifiliga e filifili ai se auala talafeagai mo lau tagata faʻatalanoa. O le suauʻu o le a faʻaaogaina se mea seʻi vagana ai ua seti le COLLECT_NO_DEMANGLE i le siosiomaga. O nei filifiliga e mafai ona faʻaaoga e faʻaalu ai le faaletonu.

- faila faila fesoʻotaʻi

Seti le igoa o le fesoʻotaʻiga malosi. E naʻo le aoga lenei mea pe'ā faʻapupulaina ELF faʻamalosia. Le fesoʻotaʻiga faʻaleagaina o le fesoʻotaʻiga e masani ona saʻo; aua neʻi faʻaaogaina lenei mea sei vagana ua e iloa mea o loʻo e faia.

- toe faʻafeiloaʻi

O lenei filifiliga e naʻo le taua pe a faʻafesoʻotaʻi le MIPS na faʻapipiʻi le code PIC, na faia e le ata -mambedded-pic filifiliga i le GNU compiler ma le faʻatasitasi. E mafua ai ona fausia e le suauʻu se laulau lea e mafai ona faʻaaogaina i le taimi malolo e toe faʻaleleia ai soʻo se faʻamatalaga lea na faʻamautuina i fua faʻatatau. Vaʻai i le code in testsuite / ld-empic mo faʻamatalaga.

--patala-lapataiga

Taʻia uma lapataiga o ni mea sese .

- malosi-exe-suffix

Ia mautinoa o se faila faila e iai se suffixi .exe.

Afai o se fausiaina lelei o le faila o faila faila e le oi ai se " exe " poʻo " .dll " lava, o lenei filifiliga e mafai ai e le faila ona kopi le faila faila i le tasi o le igoa e tasi ma se suffiva ".exe". O lenei filifiliga e aoga i le faʻaaogaina o le Unix i luga o le 'auʻaunaga a Microsoft Windows, talu ai o isi lomiga o le Windows o le a le faʻataunuʻuina se ata seʻi vagana ua maeʻa i se ".exe" faʻasologa.

--no-gc-vaega

--gc-vaega

Faʻafoeina lapisi o lapisi e le faʻaaogaina. E le amanaiaina i luga o sini e le lagolagoina lenei filifiliga. O lenei filifiliga e le ogatasi ma -r , e le tatau foi ona faʻaaogaina i le fesoʻotaʻi malosi. O amioga le lelei (o le le faia o lenei lapisi otaota ) e mafai ona toe faʻaleleia e ala i le faʻamautuina o --no-gc-vaega i luga o le laina o le poloaiga.

--fesoasoani

Lolomi se otootoga o filifiliga o le laina laina i luga o le tulaga masani ma alu atu.

- fesoasoani-fesoasoani

Lolomi se otootoga o fuainumera autu uma i luga o le tulaga masani ma le alu.

-Map mapfile

Lolomi se siata fesoʻotaʻiga i le kiliva faila. Vaʻai faʻamatalaga o le -M filifiliga, i luga.

--no-tausi-mafaufau

l masani masani mo le saoasaoa i luga o le faaaogaina o manatua e ala i le lafoina o laupepa faailoga o faila i totonu o le mafaufau. O lenei filifiliga e taʻu atu ai le ld ae sili atu le talafeagai mo le faʻaaogaina o le manatua, e ala i le toe faitau o laulau faʻamaonia e pei ona tatau ai. Atonu e manaʻomia lenei mea pe afai o le ld e aluese mai le mafaufauga aʻo faʻapipiʻiina se tele e mafai ona faʻaaogaina.

- leai se faʻamaonia

-na faʻasala

E masani lava pe a fatuina se faletusi e le faʻapitoa, e faʻatagaina faʻamaoniga e le faʻamaonia ma tuʻu ai ina ia foia e le tagata taʻavale taimi. O nei filifiliga e le mafai ai ona faʻamaonia faʻamaoniga le faʻamaonia.

- faʻauiga-tele-faʻamalamalamaga

-a muldefs

E masani lava pe a faʻamautu se faailoga i le tele o taimi, o le a lipotia e le suauʻu se mea sese. O nei filifiliga e mafai ona maua ai faʻamatalaga e tele ma o le faʻamatalaga muamua o le a faʻaaogaina.

--allow-shlib-undefined

Faʻatagaina faʻamaonia le faʻamaonia i mea tufatufa e tusa lava pe ua setiina - e le faʻamaonia. O le iuga o le a avea ma faailoga le faʻamaonia i mea masani o le a faʻaalia ai se mea sese, ae o faʻamaonia le faʻamaonia i mea tufatufaina o le a le amanaiaina. O le faʻatinoina o le leai o se faʻamaonia e mafua ai le masalosalo o le taimi e faʻasalalau ai le fesoʻotaʻiga i luga o faʻamaoniga e le faʻamaonia. Ae peitaʻi o loʻo i ai le sili atu ma le tasi le faiga (BeOS) lea e masani ona faʻaalia ai faʻamaonia le faʻamaoniaina o faletusi i le taimi nei ona o le fatu o loʻo faʻapipiʻiina i latou i le taimi e filifili ai po o le fea galuega e sili ona fetaui mo le ata tusi. IE filifili ma le faʻamalosi se galuega talafeagai memset. E foliga mai o se mea masani foi mo potu potutusi o le HPPA e maua ai faʻamaoniga e le faʻamaonia.

--no-undefined-version

E masani lava pe'ā i ai se faʻauiga e leai se faʻamaonia, o le a le amanaʻia e le faila. O lenei filifiliga e faʻaaogaina ai faʻataʻitaʻiga e aunoa ma se faʻamalamalamaina ma o le a tuʻuina atu se mea sese.

--no-lapataiga-le faʻavasega

E masani lava o le ld o le a tuʻuina atu se mea sese pe afai e te taumafai e faʻafesoʻotaʻi ia faila faifaʻatasiga e le faʻafefeteina mo nisi mafuaaga, masalo ona ua tuufaatasia mo le tele o faʻagasologa poʻo le eseese o vaitau. O lenei filifiliga e taʻu atu ai i le ld e tatau ona faatagaina le leai o ni mea sese sese faapena. O lenei filifiliga e tatau ona faʻaaogaina ma le faʻaeteete, i mataupu pe ae faia ni gaioiga faapitoa e faʻamautinoa ai e le fetaui ia mea e fesootaʻi ai.

--no-atoa-archives

Aveese le aafiaga o le --whole-archive option mo faila faila mulimuli.

- leai se faʻasalaga

Taofiofia le faila o faila faila i so o se taimi lava e faʻaaogaina. E masani lava, e le mafai e le fesoʻotaʻiga ona tuʻuina atu se faila faila pe a feagai ma ni mea sese ao faagasolo le fesoʻotaʻiga; e alu e aunoa ma le tusiaina o se faila faila pe a tupu mai soʻo se mea sese.

-nostdlib

Na o suʻesuʻega faitautusi a le suʻega na faʻamalamalama manino i le laina o le poloaiga. Faʻamaumauga a le Potutusi o loʻo faʻamatalaina i tusitusiga fesoʻotaʻi (e aofia ai faʻamaumauga e fesoʻotai i luga o laina laina) e le amanaiaina.

--oformat output-format

ld e mafai ona faʻatulaga e lagolago ai le sili atu ma le tasi le ituaiga faila faila. Afai e faʻapipiʻiina lau ld i lenei auala, e mafai ona e faʻaoga le --oformat filifiliga e faʻamalamalama ai le faatulagaga binary mo le faila o mea gaosi oloa. E tusa lava pe faʻapipiʻi le ld e lagolago ai isi mea faʻapitoa, e le masani ona e faʻamaonia lenei mea, e pei ona tatau ona faʻatautaia le ld e avea o se faʻasologa o mea e faʻaaogaina le masani masani i masini taʻitasi. fuataga-faatulagaga o se laina telefoni, le igoa o se faʻapitoa patino o loʻo lagolagoina e le faletusi BFD. (E mafai ona e lisiina ia laasaga o mea e maua ai le numera -i .) O le tusi tusitusi "OUTPUT_FORMAT" e mafai foi ona faamaoti le faatulagaga o le galuega, ae o lenei filifiliga e pulea ai.

-qmagic

O lenei filifiliga e le amanaiaina mo le fesoʻotaʻiga Linux.

-Tu

O lenei filifiliga e le amanaiaina mo le tulaga tutusa o le SVR4.

--relax

Se filifiliga i mea e faalagolago i le masini. O lenei filifiliga e na o le lagolagoina i ni nai taʻaloga.

I luga o nisi o tulaga, o le --relax o le a faʻatulagaina ni faʻataʻitaʻiga o le lalolagi e ono mafai pe a faʻamautu e le failaina le laugaina i le polokalame, e pei o le faʻaogaina o modes address ma faʻasalalau faatonuga fou i le faila faʻataʻitaʻiga.

I luga o nisi o faʻamaumauga o nei fesoʻotaiga fesoʻotaiga i le lalolagi atoa e mafai ona avea ma faʻamalologa faʻapitoa o le taunuuga e mafai ona le mafai. O lenei tulaga ua lauiloa o le mataupu lea mo le Matsushita MN10200and MN10300 aiga o gaosiga.

I luga o faʻamaumauga e le o lagolagoina ai, - taliaina lelala , ae le amanaiaina.

--le-symbols-file filename

Tausia na o faatusa o loʻo lisiina i le faila igoa , lafoai isi uma. igoa igoa e na o se faila laugatasi, ma le tasi faailoga igoa i laina taitasi. O lenei filifiliga e sili ona aoga i siosiomaga (pei o le VxWorks) lea e faʻapupulaina ai se laulau tele o faʻamaumauga o le lalolagi, e faʻasaoina ai le taimi e faʻamanatu ai.

--le-symbols-faila e le lafoai faailoga faʻamaonia, poʻo faailoga e manaʻomia mo le toe faʻaleleia.

E faatoa mafai ona e faʻamaonia --iʻo-faatusa-faila i le taimi o le laina o le poloaiga. E soloia -s ma -S .

-palaga pala

Faʻaopoopo se faʻasino i le taimi o le sailiga o le faletusi. E faʻaaogaina lea pe a faʻapipiʻiina se ELFexecuta e faʻatasi ai ma mea faʻasoa. O faʻamatalaga uma -o faʻamatalaga e faʻatautaia ma tufatufa atu i le tagata fesoʻotaʻi taimi, lea e faʻaaogaina ai i latou e suʻe ai mea faʻasoa i le taimi faʻatino. E faʻaaogaina foi le filifiliga -path pe a faʻaalia mea na fefaʻasoaʻi lea e manaʻomia e mea faʻasoa na faʻaalia manino i totonu o le fesoʻotaʻiga; vaai le faʻamatalaga o le filifiliga -rpath-link . Afai e le faʻaogaina -ppath pe a faʻapipiʻiina le ELF, o le a faʻaaogaina mea o loʻo i le siosiomaga "LD_RUN_PATH" pe'ā faʻamalamalamaina.

E mafai foi ona faʻaaoga le filifiliga -path i SunOS. Ona o le lē mafai, i luga o SunOS, o le a fausia e le faila se patch inquiry time from all -L options ua tuʻuina mai. Afai e faʻaaogaina se -ppath filifiliga, o le taimi o le sailiga taimi e faʻaaogaina faʻapitoa i le faʻaaogaina o filifiliga, e le amanaʻiaina le -L options. E mafai ona aoga lenei i le faʻaaogaina o le komepiuta, lea e faʻaopoopoina ai le tele -L filifiliga e ono i luga o NFS faʻapipiʻi filesystems.

Mo le fesoʻotaʻiga ma isi ELS link, pe afai o le -R filifiliga e mulimulitaia e le igoa o le lisi, nai lo le igoa o le faila, e faia o le filifiliga -path .

-rpath-link DIR

Pe a faʻaaoga le ELF poʻo le SunOS, e mafai e se tasi o faila faʻatautusi ona manaʻomia se isi. E tupu lenei mea pe a iai se fesoʻotaʻiga "ld -shared" e aofia ai le faletusi faʻasalalau o se tasi o faila faʻapipiʻi.

A faʻafefea e le au fesoʻotaʻiga se faʻalagolago pe a fai se faʻasoa, e le toe faʻaaogaina, o le a otometi ona taumafai e suʻe le faletusi e manaʻomia ma aofia ai i totonu o le fesoʻotaʻiga, pe afai e leʻo aofia ai. I se tulaga faapena, o le -rpath-link option e faʻamaonia ai le seti muamua o directories e suʻe ai. O le -rpath-link option e mafai ona faʻamaonia se faasologa o igoa o le lisi e ala i le faʻamalamalamaina o se lisi o igoa e vavae ese e tagata o nonofo ai, poʻo le faʻaalia i le tele o taimi.

O lenei filifiliga e tatau ona faʻaaogaina ma le faʻaeteete ao faʻafefeina le auala sailiili atonu e faigata ona faʻapipiʻiina i se faletusi faʻasoa. I se tulaga faapea, e mafai ona faʻaaogaina ma le le iloa se isi auala suʻesuʻe nai lo le mea e fai e le faila taimi.

E faʻaogaina e le suauʻu auala nei e suʻeina ai faletusi fefaʻasoaʻi manaʻomia.

1.

Soʻo se faʻasalalauga ua faʻamaonia e -rpath-link options.

2.

Soʻo se faʻasalalauga ua faʻamaonia e - options. O le eseesega i le va o -rpath ma -rpath-link o faʻasalalauga faʻapitoa e --pp options o loʻo aofia i le faʻaaogaina ma faʻaaogaina i le taimi malolo, ae o le -rpath-link option e na o le lelei lava i le taimi fesoʻotaʻi. E mo na o le tagata e na o le sootaga.

3.

I luga o se ELF, pe afai e le faʻaaogaina le -ppath ma le "rpath-link", saili i mea o loʻo i ai i le suiga o le siosiomaga "LD_RUN_PATH". E mo na o le tagata e na o le sootaga.

4.

I SunOS, afai e leʻi faʻaaoga le -ppath filifiliga, suʻe soʻo se directories faʻamatalaina faʻaaoga -L filifiliga.

5.

Mo se tagata e fesoʻotaʻi faʻatasi, o mea o loʻo i le siosiomaga e suia "LD_LIBRARY_PATH".

6.

Mo se tagata ELF e fesoʻotaʻi faʻatasi, o le faʻasalalauga i le "DT_RUNPATH" poʻo le "DT_RPATH" o se potutusi tuʻufaʻatasi o loʻo suʻeina mo potu faletusi e manaʻomia e ia. E le o amanaʻia ia "DT_RPATH" pe a iai ia "DT_RUNPATH".

7.

O lisi le aoga, masani / lib ma / usr / lib .

8.

Mo se tagatanuu moni i luga o se ELF, pe afai ei ai le faila /etc/ld.so.conf , o le lisi o lisi tusi o loʻo maua i lena faila.

Afai e le maua le faletusi e manaʻomia, o le a tuʻuina atu e le seli se lapataiga ma faʻaauau pea le fesoʻotaʻiga.

-shared

-Faʻafia

Fatuina se faletusi faʻasalalau. O le taimi nei ua lagolagoina nei i luga ole laiga ELF, XCOFF ma SunOS. I le SunOS, o le a faʻapipiʻiina e le suauʻu se faletusi fefaʻasoaʻi pe afai o le - e le faʻaaogaina le filifiliga ma o loʻo i ai faʻauiga le faʻamaonia i totonu o le fesoʻotaʻiga.

- tutusa-masani

O lenei filifiliga e taʻu atu ai le ld e faʻapipiʻi tutusa ia faailoga lautele pe a tuʻuina i totonu o vaega talafeagai. Muamua ona sau uma lea o faailoga, ona sosoo ai lea ma le lua, ona sosoo ai lea ma le fa uma, ona sosoo ai lea ma isi mea uma. O le mea lea e taofia ai va i le va o faailoga ona o le faʻaogaina o faʻagata.

--split-by-file [ tele ]

E tutusa ma --split-by-reloc ae ua fatuina se vaega fou mo le failaina o faila taitasi pe a oʻo mai le tele . tele le faʻasolosolo i le tele o le 1 pe a le tuʻuina atu.

--split-by-reloc [ faitauina ]

Taumafai e fatuina nisi vaega faaopoopo i le faila faila ina ia leai se tasi vaega o galuega faatino i totonu o le faila e sili atu nai lo le toe faʻaleleia o le toe faʻaleleia. E aoga lenei mea pe a fai ni faila tetele e toe faʻaleleia mo le downloadina i totonu o le taimi tonu o kernels faʻatasi ai ma le faatulagaga o le faila a le COFF; talu ai o le COFFcannot e fai ma sui o le 65535 toe faʻaleleia i se tasi vaega. Manatua o lenei mea o le a le mafai ona galue faʻatasi ma faila faila faila e le lagolagoina ni vaega faʻavae. E le vaeluaina e le suauʻu vaega taʻitasi mo le toe tufatufaina atu, o lea afai o se vaega e tasi e aofia ai le sili atu nai lo le toe faʻaleleia o le toe faʻaleleia o se tasi o vaega o galuega o le a aofia ai le tele o falemaʻi. faitau faʻasalaga i se tau aogā o le 32768.

--tats

Faʻatusatusa ma faʻaalia fuainumera e uiga i le faʻatinoina o le fesoʻotaʻiga, e pei o le taimi faʻatino ma le faʻaaogaina o le mafaufau.

- faʻasalalauga faʻavae

Mo nisi tulimataʻiga, o le gaioiga o le ld e ese mai i nisi o auala mai le gaosiga o nisi o fesoʻotaʻiga. O nei suiga i le ld e faʻaaogaina ai le faatulagaga faʻale-aganuʻu.

Mo se faʻataʻitaʻiga, i luga o SunOS, ld faʻapipiʻi pepa faʻalua i le laulau faʻamaufaʻailoga. E mafai e lenei mea ona faʻaititia le tele o se faila faila ma faʻamatalaga faʻamalosia uma e silia ma le 30 pasene. O le mea e leaga ai, o le SunOS "dbx" polokalama e le mafai ona faitauina le polokalama e maua ai ("gdb" e leai se faʻafitauli). Ua taʻu mai e le fomaʻi-faasologa o le fesuiaiga o fesoʻotaʻiga le ld e le faʻapipiʻi ai faʻamaumauga lua.

--section-start partname = org

Suʻe se vaega i le faila o faila i le tuatusi tuusaʻo na tuʻuina atu e le org . E mafai ona e faʻaaogaina lenei filifiliga i le tele o taimi e tatau ai e suʻe ai ni vaega se tele i le laina o le poloaiga. Org e tatau ona avea ma se numera tasi o le hexadecimal; mo le fesoʻotaʻiga ma isi tagata fai fesoʻotaʻiga, atonu e te faʻaaogaina le 0x masani e masani ona fesoʻotaʻi ma tulaga taua o le hexadecimal. Manatua: e le tatau ona i ai se avanoa papaʻe i le va o le vaega igoa , o le faailoga tutusa (`` = ''), ma le org .

-Tbss org

-Taea org

-Tuʻu org

Faaaoga le org e avea ma tuatusi amata mo --- i le faasologa --- o le "bss", "faamatalaga", po o le "tusitusiga" vaega o le faila faila. Org e tatau ona avea ma se numera tasi o le hexadecimal; mo le fesoʻotaʻiga ma isi tagata fai fesoʻotaʻiga, atonu e te faʻaaogaina le 0x masani e masani ona fesoʻotaʻi ma tulaga taua o le hexadecimal.

--dll-verbose

--vebose

Faʻaali le numera o le numera mo le ld ma lisi lisiina o fesoʻotaiga o loʻo lagolagoina. Faʻaalia po o fea faila o faila e mafai ma e le mafai ona tatalaina. Faʻaali le faʻaoga fesoʻotaʻiga o loʻo faʻaaogaina e le faila.

--version-script = version-scriptfile

Faʻamanino le igoa o le faʻamaumauga o le tusiga i le faila. E masani ona faʻaaoga lenei mea i le fausiaina o faletusi fefaʻasoaʻi e faʻamaonia ai nisi faʻamatalaga e uiga i le faʻataʻitaʻiga o le faʻataʻitaʻiga mo le fausiaina o le faletusi. O lenei filifiliga e na o le taua i luga o faʻamaumauga a le ELF lea e lagolago ai potu potutusi.

- masani-masani

Lapatai pe a faʻapipiʻi se faailoga masani ma se isi faʻailoga masani poʻo se faʻamatalaga faʻamaoniga. E mafai e le au Unix linkers ona faʻaaogaina lenei faiga faʻavalevalea, ae o le au fesoʻotaʻiga i isi faiga faʻaogaina e le. O lenei filifiliga e mafai ai e oe ona maua ni faʻafitauli faʻapitoa mai le tuufaatasia o faailoga o le lalolagi. O le mea e leaga ai, o nisi o potutusi a le C e faʻaaogaina lenei faiga, o lea e mafai ai ona e maua ni lapataiga e uiga i faatusa i faletusi faapea foi i au polokalama.

E tolu ituaiga o faatusa o le lalolagi, o loʻo faʻaalia iinei e le faataitaiga C:

int i = 1;

O se faʻamatalaga, lea e alu i le vaega amata o faʻamatalaga o le faila faila.

fafo int i;

O se faʻamatalaga e le faʻamaonia, lea e le vaeluaina avanoa. E tatau ona i ai se faauigaga poʻo se faailoga masani mo le fesuiaiga i se mea.

int i;

Se faailoga masani. Afai e na o (tasi pe sili atu) faailoga masani mo se fesuiaiga, e alu i le vaega e leʻi faʻamaufaʻailogaina o le faila faila. E fesoʻotaʻi le fesoʻotaʻiga i le tele o faailoga masani mo le fesuiaiga tutusa i se faailoga se tasi. Afai e ese la latou lapoa, e filifilia le tele tele. E liliu e le faila se faailoga masani i totonu o se taʻutinoga, pe afai ei ai se faʻamatalaga o le fesuiaiga tutusa.

E mafai e le -warn-common option ona maua ni lapataiga se lima. Soʻo se lapataiga e aofia ai laina e lua: o le muamua o loʻo faʻamatalaina le faailoga na o le feiloaiga, ma le lua o loʻo faʻamatalaina le faailoga muamua na feagai ma le igoa e tasi. O se tasi pe lua uma o faailoga e lua o le a avea ma faailoga masani.

1.

Liliuina o se faailoga masani i se faasinomaga, aua ua i ai se faauigaga mo le faailoga.

(): lapataiga: taatele o le < 'faʻamalo i le faʻamatalaga (): lapataiga: faʻamatalaina ii

2.

Liliuina o se faailoga masani i se faasinomaga, aua o se faʻamatalaga mulimuli ane mo le faailoga o loʻo feagai. E tutusa lava ma le mataupu muamua, seivagana ua maua nei faatusa i se isi faatulagaga.

(): lapataiga: faʻamatalaga o le < 'faʻateleina le masani (): lapataiga: masani o iinei

3.

Tuufaatasia se faailoga masani ma se faailoga masani tutusa tutusa.

(): lapataiga: tele masani o le < ' (): lapataiga: masani masani

4.

Tuufaatasia se faailoga taatele ma se faailoga lautele tele atu muamua.

(): lapataiga: masani o le < 'e sili atu i le lautele (): lapataiga: sili atu le lautele o iinei

5.

Tuufaatasia se faailoga masani ma se faailoga taatele laʻitiiti muamua. E tutusa lava ma le mataupu muamua, seivagana ua maua nei faatusa i se isi faatulagaga.

(): lapataiga: masani o le < 'e sili atu i le taatele (): lapataiga: o le mea sili ona taatele o iinei

- tagata faufautua

Lapatai pe'āfai e faʻaogaina soʻo se fausaga lalolagi. E na o le aoga lava mo nisi faila faila faila. Mo faʻatulagaga e pei o le COFF poʻo le ELF, e le mafai ona iloa e le suauʻu le faʻaaogaina o mea faufale i le lalolagi.

--warn-multiple-gp

Lapatai pe afai e manaʻomia ni faʻasologa o faʻamaufaʻailoga o le lalolagi i le faila faila . E na o le aoga lava lenei mo nisi faʻamaonia, pei o le Alefa. Aemaise lava, o nisi o faila e tuʻuina i luga o faʻamaumauga taua i se vaega faapitoa. O se resitala faapitoa (o le lalolagi faʻasolosolo) o loʻo faasino i le ogatotonu o lenei vaega, ina ia mafai ai ona faʻaaogaina lelei ia siaki e ala i se faiga faʻasalalauga faʻafesoʻotaʻi laʻasaga. Talu ai o le faʻasalaga i le faʻavae o le faʻavae tusi resitala e tumau ma e laʻitiiti (faʻataʻitaʻiga, 16 bits), e faʻatapulaaina ai le maualuga o le vaitafe tumau. O le mea lea, i polokalama tetele, e masani lava ona talafeagai le faʻaaogaina o numera o faʻamaufaʻailoga e tele i le lalolagi ina ia mafai ai ona faʻafesoʻotaʻia uma ia faʻatatau. O lenei filifiliga e mafua ai se lapataiga e tuuina atu i soo se taimi e tupu ai lenei mataupu.

- faʻailoga-tasi

Tau lava o le lapataʻi faʻatasi mo faailoga taʻitasi e le faʻamaonia, nai lo le tasi le fomaʻi e faatatau i ai.

--warn-section-align

Lapatai pe afai e suia le tuatusi o se vaega o meafaigaluega ona o le fetaui. E masani lava, o le faʻatulagaga o le a setiina e se vaega o loʻo i totonu. O le tuatusi o le a naʻo le suia pe afai e leʻo manino ona faʻamaonia; o lona uiga, pe afai o le "VAEGA" poloaiga e le o faamaoti mai se tuatusi amata mo le vaega.

--whole-archive

Mo faʻamaumauga taitasi o loʻo taʻua i le laina o le laina pe a uma le --whole-archive option, ia aofia ai faila uma i totonu o le teutusi i totonu o le fesoʻotaʻiga, nai lo le sailia o le fale teu oloa mo faila o mea manaʻomia. E masani ona faʻaaoga lenei mea e liliu ai se faila faila i totonu o le faletusi fefaʻasoaaʻi, faʻamalosia mea uma e tatau ona aofia i totonu o le faletusi faʻatasi. O lenei filifiliga e mafai ona sili atu ma le tasi ona faʻaaogaina.

E lua ni faʻamatalaga pe a faʻaaoga lenei filifiliga mai le kesi: Muamua, e le iloa e le kick lenei filifiliga, o lea e tatau ai ona e faʻaaoga -Wl, -whole-archive . Lona lua, aua le galo le faʻaogaina -Wl, -no-atoa-archives pe a maeʻa lau lisi o faʻamaumauga, aua o le a faaopoopoina e le kcca lana lava lisi o faamaumauga i lau sootaga ma atonu e te le manao i lenei fuʻa e aʻafia ai i latou.

- faʻasologa faʻasologa

Faaaoga se galuega afifi mo faailoga . Soʻo se faʻamatalaga e le faʻamalamalamaina i le faʻamaoniga o le a foia i le "__ wrap_symbol". Soʻo se faʻamatalaga e le faʻamalamalamaina i le "__real_symbol" o le a foia e fai ma faailoga .

E mafai ona faʻaaoga lenei mea e tuʻuina atu ai se afifi mo se faʻatinoga o le tino. O le galuega afifi e tatau ona taua "__wrap_symbol". Afai e manaʻo e taʻu le faiga o le polokalama, e tatau ona faʻailoa le "__ real_symbol".

O se faʻataʻitaʻiga le taua lenei:

void * __wrap_malloc (int c) {printf ("malloc ua taua i le% ld \ n", c); toe foʻi mai __real_malloc (c); }

Afai e te sosooina isi faʻamaonia i lenei faila e faʻaaoga --wrap malloc , ona valaʻau atu lea i le "malloc" o le galuega "__wrap_malloc" nai lo. O le valaau i le "__real_malloc" i le "__wrap_malloc" o le a taua o le "malloc" moni.

Atonu e te manaʻo e tuʻuina atu se galuega "__real_malloc", ma o le fesoʻotaʻiga e aunoa ma le filifili --wrap option o le a manuia. Afai e te faia lenei mea, e le tatau ona e tuu le uiga o le "__ real_malloc" i le faila lava e tasi o le "__wrap_malloc"; afai e te faia, e mafai e le tagata faʻapitoa ona foia le valaau ao le i maua e le faila se avanoa e afifi ai i le "malloc".

--enave-new-dtags

--site-fou-dtags

E mafai e lenei tagata faʻapipiʻi ona fatuina faʻamaumauga fou i ELF. Ae atonu o le a le mafai ona malamalama iai polokalama a le ELF matutua. Afai e te faʻamaʻoti - e mafai ona faʻaaogaina-fou , o le a faʻapipiʻiina ia igoa faʻaleleia pe a manaʻomia. Afai e te faʻamaonia - mafai ona fai-fou-dtags , o le a le faia ni pine fou. I le le mafai, e le o faia ni pine fou. Manatua o na filifiliga e na o le avanoa mo le ELELF.

Le lagolago o le i386 PE o loʻo lagolagoina le filifiliga -shared , lea e mafua ai ona avea le galuega ma se faletusi fesoʻotai (DLL) nai lo se mea e masani ona faʻaaogaina. E tatau ona e faaigoaina le "* .dll" i le mea e te faʻaaoga ai lenei filifiliga. E le gata i lea, o le fesoʻotaʻiga e lagolagoina atoatoa ia faila masani '* .def', lea e mafai ona faʻamaonia i luga o le laina o le laina fesoʻotaʻiga e pei o se faila faila (i le mea moni, e tatau ona muamua i faamaumauga o loʻo tuʻuina mai ai faʻamaoniga mai, pei o se faila faʻaoga masani).

I le faaopoopo atu i filifiliga e taatele i sini uma, o le lagolago i386 PE o loʻo lagolagoina nisi laina faʻaopoopo laina o tulafono e patino i le i386 TT. Filifiliga e ave faʻamaualuga e mafai ona vavaeese mai o latou tulaga taua e ala i se avanoa poʻo se faailoga tutusa.

--add-stdcall-alias

Afai e tuʻuina atu, o faʻataʻitaʻiga faʻatasi ai ma se suffixi (squadall suffix) (@ nn ) o le a faʻaulufale atu e pei ona i ai ma faʻatasi foi ma le suffix ua toilalo.

File faila faila

Faaaoga le faila e fai ma igoa o se faila e teu ai tuatusi autu o toega uma e manaʻomia mo le fausiaina o DLL ma le dlltool .

--dll

Fausia se DLL nai lo le faia masani. E mafai foi ona e faʻaaoga- puipui pe faʻamaonia se "LIBRARY" i se faila ".def" ua tuʻuina mai.

--enable-stdcall-fixup

--disable-stdcall-fixup

Afai e maua e le sootaga le faʻamaoniga e le mafai ona foia, o le a taumafai e faia le "fesoʻotaʻi vavalalata" e ala i le suʻeina o se isi faʻataʻitaʻiga faʻamaonia e ese mai i le faatulagaga o le igoa faailo (cdecl vs stdcall) ma o le a foia lena faailoga i le fesoʻotaʻi i le faʻatusa. Mo se faʻataʻitaʻiga, o le uiga e le faʻamaonia "_foo" e ono fesoʻotaʻi atu i le galuega "_oo @ 12", poʻo le faʻamaonia le faʻamaonia "_bar @ 16" atonu e fesoʻotaʻi atu i le galuega "_bar". A fai e le failaina lenei mea, e lolomiina se lapataiga, talu ai e masani lava ona le mafai ona fesoʻotaʻi, ae o nisi taimi e faʻaulufaleina faletusi na faʻavaeina mai i vaega o isi vaega atonu e manaʻomia lenei uiga ina ia faʻaaogaina. Afai e te faʻamaʻoti --enable-stdcall-fixup , ua maeʻa lenei tulaga ma e le lolomiina lapataiga. Afai e te faʻamaonia --disable-stdcall-fixup , o lenei uiga e le atoatoa ma o ia mea e le o se mea sese.

- faʻasalalauga-faʻapitoa

Afai e tuʻuina atu, o faʻafanua uma o le lalolagi i totonu o mea faʻaaoga e fausia ai se DLL o le a faʻatau atu e le DLL. Manatua o le mea lea e le faʻaaogaina pe afai o le a leai ni faʻaupulaga auina ese atu. Pe a faʻapipiʻi manino atu faʻataʻitaʻiga faʻataʻitaʻiga e ala i faila DEF pe faʻatau atu i fafo e ala i uiga o galuega, o le faaletonu o le le faʻatau atu lea i se isi mea seʻi vagana ua tuʻuina atu lenei filifiliga. Manatua o faailoga "DllMain @ 12", "DllEntryPoint @ 0", "DllMainCRTStartup @ 12", ma le "impure_ptr" o le a le otometi ona auina ese atu. E le gata i lea, o faatusa e faaulufale mai mai isi DLL e le toe faʻasili atu, e leʻo faʻamatalaina foi faatusa e faʻamautuina ai le LLL i totonu o le laulau e pei o na amataga i le "ulu" pe faaiʻu i le "igoa". E le gata i lea, e leai ni faatusa mai le "libgcc", "libstd ++", "libmingw32", poo le "crtX.o" o le a auina ese atu. O faailoga e amata i le "__rtti_" poʻo "__builtin_" o le a le faʻatau atu, e fesoasoani i C ++ DLLs. Ma le mea mulimuli, o loʻo i ai se lisi tele o ata eletise-faʻamaonia e le faʻatauvaʻaina (e manino lava, e faʻaaoga lenei pe a fausia fale DLL mo tauvaga cygwin).

O nei cygwin-e le aofia ai: "_cygwin_dll_entry @ 12", "_cygwin_crt0_common @ 8", "_ cygwin_noncygwin_dll_entry @ 12", "_fmode", "impimp_ptr", "cygwin_attach_dll", "cygwin_premain0", "cygwin_premain1", "cygwin_premain2", "cygwin_premain3 ", ma le" siosiomaga ".

- e aofia ai-faailoga faailoga , faailoga , ...

Faʻamatala mai se lisi o faʻamaoniga e le tatau ona otometi le auina ese atu. O igoa faailo e mafai ona faʻasalalau e tagata o tagata poʻo ni tagata malo.

--exclude-libs lib , lib , ...

Faʻamatala mai se lisi o faletusi faʻamaumauga e le tatau ona otometi le auina ese atu. O igoa o le faletusi e mafai ona faʻasalalau e komi poʻo tagata o le fale. Faʻamalamalamaina "--excom-libs ALL" e le aofia ai faʻamaufaʻailoga i totonu o faletusi faʻamaumauga uma mai le auina atu i fafo. O faʻamaoniga o loʻo lisi manino mai i se faila .def o loʻo faʻaulufaleina pea, e tusa lava pe o le a le filifiliga.

--filefilemu

Faʻamatala mai le faila o faila. O vaega i le faila o le a amata i taimi uma i luga o faila faila e tele o lenei numera. E faʻamaonia lenei mea i le 512.

- reserve reserve

- teu faʻaoleo , fai

Faʻamanino le aofaʻi o le manatua e faʻaagaga (ma filifili i ai) e faʻaaoga e avea ma faaputuga mo lenei polokalama. O le faaletonu e 1Mb faasao, 4K faia.

- faʻafanua-mea taua

Faʻaaoga le aoga o le tuatusi autu o lau polokalama poʻo le dll. O le nofoaga aupito maualalo lea o manatuaina lea o le a faʻaaoga pe a faʻatupuina lau polokalama poʻo le dll. Ina ia faʻaitiitia le manaʻoga e toe sii ma faʻaleleia le faʻatinoga o au faʻavae, e tatau i tagata taitoatasi ona i ai se tuatusi tulaga ese ma e le faʻafefeina ai isi isi faʻavae. O le faaletonu e 0x400000 mo tagata e faʻaaoga, ma le 0x10000000 mo dlls.

- i le

Afai e tuʻuina atu, o le a faʻamaʻapeʻaeseina ai suffixes (@ nn ) i faʻataʻitaʻiga aʻo leʻi faʻatau atu i latou.

--major-image-version value

Faʻatulaga le tele numera o le '`image image' '. Faʻamalo i le 1.

--major-os-version value

Faʻatulaga le tele numera o le '`os version' '. Faʻamalo i le 4.

--major-subsystem-version value

Faʻatulaga le tele numera o le '`subystem version' '. Faʻamalo i le 4.

- taua tele-image-version value

Seti le numera laʻitiiti o le `` image image ''. Faʻamalo i le 0.

--minor-os-version value

Seti le numera laʻitiiti o le '`os version' '. Faʻamalo i le 0.

--minor-subsystem-version value

Seti le numera laʻitiiti o le '`subystem version' '. Faʻamalo i le 0.

- faila faila faila

O le suauʻu o le a fatuina le faila faila lea o le a aofia ai se faila FF e tutusa ma le DLL o lo o gaosia e le seli. O lenei faila FFF (lea e tatau ona taua o le "* .def") e mafai ona faʻaaogaina e fatu ai se faletusi o oloa faaulufale mai ma le "dlltool" pe mafai foi ona faʻaaogaina e avea o se faʻasinoga i se faʻamaonia pe faʻamaonia le auina atu o faailoga.

- faila i fafo-implob

O le suauʻu o le a fatuina le faila faila lea o le a aofia ai se afifi lib e tutusa ma le DLL o le sosoʻo o loʻo gaosia. O lenei import lib (lea e tatau ona taua o le "* .dll.a" poʻo le "* .a" e mafai ona faʻaaogaina e fesoʻotaʻi ai tagata e faasaga i le DLL faʻamalosia; o lenei amioga e mafai ai ona lafoaʻi se isi laasaga a le faletusi o "dlltool".

--enable-auto-image-base

Filifili ma le aunoa le faʻafanua mo le DLL, seivagana ua faʻamaonia se tasi e faʻaaoga ai le "-image-base" argument. I le faʻaaogaina o se sola na faia mai le igoa o le igoa igoa e fatuina ai ni faʻavae tulaga faʻapitoa mo DLL taʻitasi, i--memories collisions ma falemaʻi e ono faʻatuai ai le faʻatinoina o le polokalame.

- faʻagata-auto-image-base

Aua nei e gaosia se tulaga uiga ese tulaga ese. Afai e leai se faʻaaogaina o faʻamatalaga faʻapipiʻi ("-image-base") ona faʻaaoga lea o le faʻasalalauga.

--lll-search-prefix string

Aʻo faʻafesoʻotaʻi malosi i se mūmū e aunoa ma se faletusi o oloa faaulufale mai, saili mo " .dll" nai lo le "lib .dll". O lenei amioga e faigofie ai le eseesega i le va o DLL ua fausia mo le eseesega o le "subplatforms" ':: native, cygwin, uwin, pw, ma isi. Mo se faataitaiga, o cygwin DLL e masani ona faaaoga le "--dll-search-prefix = cyg".

--enave-auto-faaulufale mai

Fai le sophisticated link of "_symbol 'i le" __imp__symbol "mo oloa mai fafo mai le DLL, ma fatuina faailoga talafeagai o le faʻataʻitaʻiga pe a fausiaina fale faitautusi faʻatasi ma na DATAexports. E masani lava o le a 'galue lava' --- ae o nisi taimi e mafai ona e vaʻai i lenei feʻau:

"fesuiaiga '' e le mafai ona faʻaaogaina le masini. Faamolemole faitau faʻamatalaga mo le" --enable-auto-import "mo le auiliiliga."

O lenei feʻau e tupu pe a oʻo mai nisi (sub) faʻamatalaga i se tuatusi na tuʻuina atu e le lua o mau e tasi (Taunuuga Win32 ulufale mai na o le tasi). O nofoaga e ono tupu ai lenei mea e aofia ai le avanoa i vaega o tagata o faʻatulagaga fesuiaiga ua faaulufale mai mai se DLL, faʻapea foi ma le faʻaaogaina o se faʻamaumauga faifaipea i se elemene elemene na faʻaulu mai mai le DLL. Soo se fesuiaiga ole fesuiaiga numera (faʻaaogaina, fausaga, umi umi, ma isi) e mafai ona amata ai lenei tulaga sese. Aepeitaʻi, e tusa lava pe o le a le ituaiga faʻamaumauga o le solitulafono na faʻaleaogaina i fafo, o le a masani ona iloa, lapataia le lapataiga, ma alu.

E tele auala e faʻafesoʻotaʻia ai lenei faigata, e tusa lava po o le a le ituaiga faʻamatalaga o le fesuiaiga i fafo atu:

O le tasi auala o le faʻaaogaina --enave-runtime-pseudo-reloc switch. O lenei mea e tuʻu ai le galuega o fetuunaiga o faʻasino i lau keli kaini mo le taimi o le tafaoga, o lea o lenei auala e faʻaaogaina pe a faʻamalosia e le taimi e lagolagoina ai lenei uiga.

O le fofo lona lua o le faʻamalosia lea o se tasi o 'faavae' ia fesuisuiai --- o lona uiga, e le o iloa ma e le mafai ona mafai ona fuafuaina i le aoina o le taimi. Mo faʻataʻitaʻiga, e lua auala e mafai ai: a) faia le lisi ile igoa (igoa o le lisi) se fesuiaiga, poʻo le b) faʻaaogaina le '' constant 'index. O lea:

fafo fafo extern_array []; extern_array [1] -> {fesuiaʻi ituaiga * t = extern_array; t [1]}

poʻo

fafo fafo extern_array []; extern_array [1] -> {felatile int t = 1; extern_array [t]}

Mo le faʻailoga (ma le tele o isi faʻamaumauga ole telefoni) naʻo le filifiliga lava e fai ai le fausaga (poʻo le umi, poʻo le ...) fesuiaʻi:

fafo external structure; extern_struct.field -> {volatile structure s * t = & extern_struct; t-> fanua}

poʻo

fafo mamao umi extern_ll; extern_ll -> {vevela lōʻihi umi * local_ll = & extern_ll; * local_ll}

O se auala lona tolu e taulimaina ai lenei faigata o le lafoaʻi lea o le 'auto-import' mo le faʻasalaga faʻaleagaina ma maka i le "__declspec (dllimport)". Ae ui i lea, i le faʻatinoga e manaʻomia ai le faʻaaogaina o taimi faatulagaina e faailoa ai pe o loʻo e fausiaina se DLL, fausia le code code client o le a fesoʻotaʻi atu i le DLL, pe naʻo le fausiaina / fesoʻotaʻi atu i se fale tusi. I le faia o le filifiliga i le va o auala eseese o le foia o le 'tuusaʻo tuatusi ma le faʻaauau pea o le faʻafitauli' faafitauli, e tatau ona e mafaufau i le faʻaaoga masani o le lalolagi:

Original:

--foo.h fafo fafo []; --foo.c #include "foo.h" void main (intgg, char ** argv) {printf ("% d \ n", arr [1]); }

Tali 1:

--foo.h fafo fafo []; --foo.c #include "foo.h" void main (intggc, char ** argv) {/ * O lenei taamilosaga mo le win32 ma le cygwin; aua le "sili ona lelei" * / volatile int * parr = arr; lolomi ("% d \ n", parr [1]); }

Tali 2:

--foo.h / * Faʻamatalaga: faʻaaoga le auto-export (leai __declspec (dllexport)) * / #if (faʻamalamalamaina (_WIN32) || faʻamatalaina (__ CYGWIN__)) &&! (faʻamalamalamaina (FOO_BUILD_DLL) || faʻamatalaina (FOO_STATIC )) #define FOO_IMPORT __declspec (dllimport) #else #define FOO_IMPORT #endif fafo FOO_IMPORT int arr []; --foo.c #include "foo.h" void main (intgg, char ** argv) {printf ("% d \ n", arr [1]); }

O le auala lona fa e aloese mai ai i lenei faafitauli, o le toe faʻailogaina lea o lau faletusi e faʻaaoga ai se fesoʻotaʻiga faʻatinoga nai lo se faʻamatalaga faʻamatalaga mo fua faʻatatau ( eg set_foo () ma get_foo () galuega fesoasoani).

- faʻagata-auto-faʻasili

Aua e te taumafai e fai faʻamalosi le fesoʻotaʻiga o le "_symbol '' i le" _______ "mo DATAimports mai DLLs.

--enave-timetime-pseudo-reloc

Afai o lau code o loʻo i ai faʻamatalaga o loʻo faʻamatalaina i totonu o le vaega -enable-auto-import, o lona uiga, DATAimports mai le DLL ma le leai o zero, o lenei suiga o le a fausia ai se 'velocity' taimi e mafai ona faʻaaogaina e mafai ona faʻaaogaina e le taimi taʻavale e fetuunai ai faʻamatalaga i ia faʻamatalaga i lau keli keli.

- faʻafeiloaʻi-vave-pseudo-reloc

Aua le faia ni mea e toe faʻaleleia ai mo le leai o se zero offset Faʻamaumauga mai fafo mai DLLs. O le faaletonu lea.

--enave-extra-pe-debug

Faʻaali le faʻaopoopoga faʻamatalaga e fesoʻotaʻi ma le faʻaogaina o le faʻataʻitaʻiga o le auto-import.

- faʻasologa-faʻaogaina

Faʻatulaga le vaega faʻatulagaga. O vaega e manatuaina o le a amata i taimi uma i tuatusi e tele o lenei numera. Faʻamalo i le 0x1000.

- faʻasao

- faʻasao , teu

Faʻamatala le aofaʻi o le manatua e faʻaagaga (ma filifili i ai) e faʻaaoga e avea ma faaputuga mo lenei polokalama. Le faʻafitauli o le 2Mb faʻapolopolo, 4K faia.

- faʻamalamalamaga lea

- faʻatinoga e : tele

- faʻatinoga e : tele . itiiti

Faʻamatala le sosaiete o loʻo faʻatino ai lau polokalama. O tulaga faʻapulafonoina o loʻo i ai "faʻapitoa", "faamalama", "faʻamafanafanaga", ma le "posi". E mafai foi ona e setiina le seti o le setikeni.

Taua: Faʻaaoga le poloaiga a tagata ( % man ) e iloa ai pe faʻapefea ona faʻaaoga se poloaiga i luga o lau komepiuta faapitoa.